歡迎光臨青島晶誠電子設(shè)備有限公司官網(wǎng)

電話: +86-0532-87717117      郵箱:qdjcdz@126.com

產(chǎn)品展示 PRODUCTS

晶圓代工三巨頭的巔峰之戰(zhàn)!

作者:   |   閱讀次數(shù): 1137次

晶圓代工三巨頭的巔峰之戰(zhàn)!

原創(chuàng) L晨光 半導(dǎo)體行業(yè)觀察 2022-12-02 09:34 發(fā)表于安徽

圖片

2022年下半年開始,壓力由下游逐漸傳導(dǎo)到晶圓代工行業(yè),迫于庫存壓力,IC設(shè)計(jì)廠商開始冒著違約風(fēng)險(xiǎn)進(jìn)行砍單,各晶圓廠產(chǎn)能利用率開始出現(xiàn)松動(dòng)。


數(shù)月之前業(yè)界還在談?wù)摑q價(jià)、缺貨、擴(kuò)產(chǎn),轉(zhuǎn)眼間降價(jià)、砍單、減產(chǎn),甚至降薪裁員成為行業(yè)關(guān)鍵詞。


代工市場的新聞密集程度從沒有像如今這番“亂花漸欲迷人眼”,無論是臺(tái)積電計(jì)劃在美新建3nm工廠、三星加大外包產(chǎn)能、英特爾放言爭奪代工榜眼、代工業(yè)寒意或尚未觸底、業(yè)內(nèi)巨頭削減資本開支等等,都在顯現(xiàn)出代工業(yè)正在面臨半導(dǎo)體周期性和不確定性加大的時(shí)代命題,代工巨頭也無不在戰(zhàn)略或戰(zhàn)術(shù)層面整合應(yīng)對。


另一方面,在摩爾定律的驅(qū)動(dòng)下,晶圓廠一直在緊追先進(jìn)工藝,這場決賽的最后僅剩臺(tái)積電、三星和英特爾,在先進(jìn)制程節(jié)點(diǎn)展開肉搏戰(zhàn)。




當(dāng)前,隨著市場波動(dòng),各晶圓代工廠面臨著怎樣的起伏?產(chǎn)能格局未來將會(huì)有怎樣的調(diào)整?供需關(guān)系反轉(zhuǎn)后,晶圓代工市場將如何變化?在這場晶圓代工行業(yè)的反擊和保衛(wèi)戰(zhàn)中,代工三巨頭動(dòng)作頻頻。


? +

+

英特爾:轉(zhuǎn)型路漫漫


英特爾的轉(zhuǎn)型已經(jīng)進(jìn)行了多年。


從14nm到10nm的艱難量產(chǎn),英特爾深知自身在制程工藝上的落后和“牙膏廠”的品牌形象,所有人也都明白英特爾需要一個(gè)變革。


開啟IDM 2.0戰(zhàn)略

2021年初,這場漫長的轉(zhuǎn)型迎來了一個(gè)重大的轉(zhuǎn)折點(diǎn)——曾擔(dān)任英特爾CTO的半導(dǎo)體行業(yè)老兵帕特·基辛格(Pat Gelsinger)被任命為英特爾CEO。


上任后不久,帕特·基辛格宣布了“IDM 2.0”戰(zhàn)略,在該戰(zhàn)略中,英特爾對外開放自己的代工服務(wù),同時(shí)擴(kuò)大采用第三方代工產(chǎn)能。




英特爾此前一直是IDM模式,完整覆蓋了芯片從設(shè)計(jì)到生產(chǎn)再到銷售的全過程,產(chǎn)品絕大部分也都是在內(nèi)部工廠制造。在IDM 2.0模式下,英特爾不僅要委托外部芯片代工廠生產(chǎn)自己的芯片,比如預(yù)定了臺(tái)積電3nm的產(chǎn)能;與此同時(shí),英特爾也要發(fā)展自己的芯片代工業(yè)務(wù),成立英特爾代工服務(wù)IFS業(yè)務(wù),重返芯片代工行業(yè)。


英特爾的邏輯是,IFS將在服務(wù)芯片客戶的過程中變得更強(qiáng)大更好,而隨著IFS在芯片制造上越來越先進(jìn),生產(chǎn)的芯片產(chǎn)品也會(huì)更有競爭力,包括自己內(nèi)部制造的芯片,反過來又保證IFS不會(huì)受限于外部代工產(chǎn)能,以此形成正向循環(huán)。用基辛格在采訪中的話來說就是:“IDM使IFS更好,IFS使IDM更好。”


但矛盾之處也很明顯,英特爾既要為自己生產(chǎn)芯片,又要尋求為其他芯片領(lǐng)域的競爭對手如AMD和英偉達(dá)提供芯片代工服務(wù);同樣的,英特爾想在芯片代工業(yè)務(wù)上追趕臺(tái)積電和三星,但又要將自己的最好的芯片產(chǎn)品交由對手來生產(chǎn),等于在降低自身芯片制造規(guī)模的同時(shí),還將一部分利潤讓給了臺(tái)積電等競爭對手。


不過,英特爾也確實(shí)找到了撬動(dòng)IDM 2.0計(jì)劃的支點(diǎn),即臺(tái)積電和三星無法滿足所有客戶的需求?;蛘哒f,在當(dāng)前市場環(huán)境下,多元化的代工戰(zhàn)略成為很多芯片設(shè)計(jì)廠商的選擇。


今年3月,英偉達(dá)CEO黃仁勛談到:“英特爾有意讓我們使用他們的制造工廠,而我們對探索這種可能性也非常感興趣。”


7月,還沒等到英偉達(dá)的動(dòng)靜,英特爾率先宣布將為聯(lián)發(fā)科代工芯片。聯(lián)發(fā)科表示,我們一直采用多源戰(zhàn)略,除了與臺(tái)積電在先進(jìn)制程節(jié)點(diǎn)上保持密切合作外,此次合作將加強(qiáng)我們對成熟制程節(jié)點(diǎn)的供應(yīng)。


此外,蘋果最核心的芯片生產(chǎn)也是主要由臺(tái)積電代工,但蘋果CEO庫克近期表示要從美國本土采購芯片。


盡管臺(tái)積電是芯片代工領(lǐng)域的絕對領(lǐng)導(dǎo)者,掌握著更大的話語權(quán),但英特爾的加入實(shí)實(shí)在在為芯片企業(yè)帶來了新的選擇。去年7月,英特爾就宣布將為高通生產(chǎn)芯片,亞馬遜在此前也成為了其代工業(yè)務(wù)的客戶。


同時(shí),補(bǔ)貼將推動(dòng)建廠的步伐,而這對于英特爾的代工業(yè)務(wù)而言將是一個(gè)積極的信號(hào),逐漸憑借先進(jìn)制程與臺(tái)積電、三星展開正面競爭。


今年8月,美國頒布的《芯片法案》推動(dòng)英特爾啟動(dòng)了在美建廠計(jì)劃;另外,歐盟也拿出了430億歐元的《歐洲芯片法案》以支持歐洲的芯片產(chǎn)業(yè),英特爾宣布將在歐洲投資建立六大造芯基地,計(jì)劃十年投入800億歐元。


IDM 2.0戰(zhàn)略轉(zhuǎn)型

在今年9月舉行的英特爾On技術(shù)創(chuàng)新峰會(huì)上,基辛格表示英特爾代工服務(wù)將開創(chuàng)“系統(tǒng)級(jí)代工的時(shí)代”,不同于僅向客戶供應(yīng)晶圓的傳統(tǒng)代工模式,英特爾提供晶圓制造、封裝、軟件和芯粒”。


  • 晶圓制造:向客戶提供其制程技術(shù),如RibbonFET晶體管和PowerVia供電技術(shù)等創(chuàng)新。

  • 封裝:為客戶提供先進(jìn)封裝技術(shù),如EMIB和Foveros。

  • 芯粒:英特爾的封裝技術(shù)與通用芯粒高速互連開放規(guī)范(UCIe)將幫助來自不同供應(yīng)商,或用不同制程技術(shù)生產(chǎn)的芯粒更好地協(xié)同工作。

  • 軟件:英特爾的開源軟件工具,包括OpenVINO和oneAPI,加速了產(chǎn)品的交付,使客戶能夠在生產(chǎn)前測試解決方案。


這標(biāo)志著從系統(tǒng)級(jí)芯片到系統(tǒng)級(jí)封裝的范式轉(zhuǎn)移,也是英特爾為了更加開放自身代工服務(wù)的一個(gè)體現(xiàn)。


除了以“系統(tǒng)級(jí)代工”來加固自己的代工堡壘之外。英特爾還計(jì)劃在其芯片設(shè)計(jì)和制造之間建立更大的決策分離,旨在讓生產(chǎn)線像Fab業(yè)務(wù)一樣運(yùn)作,將來自英特爾內(nèi)部和外部芯片公司的訂單一視同仁。


這個(gè)決定被稱為“英特爾IDM 2.0戰(zhàn)略的新階段”,這背后的邏輯在于英特爾想將自身芯片設(shè)計(jì)與制造進(jìn)行解耦。


英特爾的芯片設(shè)計(jì)與制造部門長期以來是高度綁定的關(guān)系,這在提高靈活性的同時(shí)也造成了一個(gè)問題,就是整個(gè)設(shè)計(jì)生產(chǎn)全是走的“內(nèi)部流程”。也就是說由于流程上的差異,英特爾依據(jù)“內(nèi)部流程”設(shè)計(jì)的芯片不容易找到其它代工廠制造。而其它Fabless設(shè)計(jì)的芯片想要找英特爾代工制造,也需要時(shí)間去適應(yīng)英特爾的“內(nèi)部流程”,也比較困難。


基辛格提出的IDM 2.0轉(zhuǎn)型,實(shí)際是在原有英特爾IDM模式下解綁芯片設(shè)計(jì)和芯片制造能力,最終目的也是讓英特爾實(shí)現(xiàn)更好的芯片設(shè)計(jì)和芯片制造能力,同時(shí)建立一個(gè)屬于自己的芯片代工生態(tài)。


新的結(jié)構(gòu)旨在讓英特爾的芯片代工業(yè)務(wù)像其他第三方圓晶代工廠一樣運(yùn)作,在平等的基礎(chǔ)上接受英特爾內(nèi)部和外部芯片公司的訂單。


基辛格明白英特爾問題癥結(jié)所在,過去幾十年引以為傲的IDM模式已經(jīng)不再適應(yīng)今天這個(gè)臺(tái)積電當(dāng)?shù)赖臅r(shí)代,但完全放棄自身優(yōu)勢沿著對手的軌道發(fā)展,同樣無法讓英特爾重回時(shí)代潮頭?;粮裣Mㄟ^IDM 2.0轉(zhuǎn)型解綁英特爾的芯片設(shè)計(jì)和芯片制造能力,重新形成一股合力推動(dòng)英特爾成為新的技術(shù)領(lǐng)導(dǎo)者。


英特爾的底氣與失意

戰(zhàn)略轉(zhuǎn)型之外,英特爾在流程路線圖和產(chǎn)能方面也取得了進(jìn)展,英特爾制定了加速工藝發(fā)展的計(jì)劃,推翻了傳統(tǒng)的芯片命名方式,制定了到2025年的詳細(xì)發(fā)展路線,將推進(jìn)Intel 7、Intel 4、Intel 3、Intel 20A、Intel 18A五個(gè)流程節(jié)點(diǎn)。


理論上,Intel 20A是和臺(tái)積電2nm對標(biāo)的工藝,一旦英特爾成功突破Intel 20A量產(chǎn),或有能力與臺(tái)積電2nm一較高下。更先進(jìn)的Intel 18A就是2nm以下的布局了。


不難感覺到,英特爾的目標(biāo)十分明確,試圖在芯片代工行業(yè)與臺(tái)積電,三星形成三足鼎立格局,在高端芯片市場占據(jù)一席之地。


英特爾在2022年第三季度財(cái)報(bào)中,透露其已經(jīng)簽訂了全球TOP10半導(dǎo)體設(shè)計(jì)廠商中的7家。


上述種種,都是支撐英特爾有信心喊出2030年成為全球第二大圓晶代工廠的理由和底氣所在。


然而,豪言壯語還沒來得及咀嚼,僅僅過去半個(gè)月,英特爾芯片代工服務(wù)總裁迪爾·塔庫爾(Randhir Thakur)就被爆出將在明年第一季度離職的消息。



英特爾IFS總裁Randhir Thakur


作為IDM 2.0的核心一環(huán),基辛格對IFS以及塔庫爾自然是寄予厚望,事實(shí)上在塔庫爾治下IFS也確實(shí)相繼拿下了包括亞馬遜、高通、聯(lián)發(fā)科等芯片客戶?;粮穹Q贊其建立了一個(gè)由臺(tái)積電和三星等領(lǐng)先代工廠資深員工組成,且經(jīng)驗(yàn)豐富的領(lǐng)導(dǎo)團(tuán)隊(duì),并在移動(dòng)和汽車領(lǐng)域贏得了主要客戶。


但創(chuàng)業(yè)未半,塔庫爾還是辭職了,這位領(lǐng)導(dǎo)人的離開或許暴露了英特爾的內(nèi)部阻力可能超過外界想象。又或許這會(huì)是基辛格進(jìn)一步解耦芯片設(shè)計(jì)和芯片制造團(tuán)隊(duì)的關(guān)鍵。


? +

+

三星電子:10年內(nèi)超越臺(tái)積電


雖然三星和英特爾都是IDM廠商,但兩者的情況還不太一樣。


相較于英特爾芯片設(shè)計(jì)與制造部門高度綁定的關(guān)系,三星的芯片設(shè)計(jì)與制造業(yè)務(wù)相對獨(dú)立,比如英偉達(dá)和高通很多芯片產(chǎn)品都是由三星代工制造的。


由于三星和臺(tái)積電在代工服務(wù)方面的“開放性”,使得像英偉達(dá)這樣的Fabless廠商可以在其中“左右橫跳”,相對自由地選擇代工廠商。


2030年超越臺(tái)積電

英特爾計(jì)劃2030年超越三星代工業(yè)務(wù),而三星也放言2030年超越臺(tái)積電。


其實(shí)早在2019年三星就定下來未來10年內(nèi)超越臺(tái)積電的目標(biāo)。為了實(shí)現(xiàn)這一目標(biāo),三星大力投資、招聘人才,除了先進(jìn)制程持續(xù)加碼之外,半導(dǎo)體設(shè)備和材料、IC載板、先進(jìn)封裝等一切與晶圓代工有關(guān)的領(lǐng)域,都成為了其瞄準(zhǔn)的焦點(diǎn)。


近段時(shí)間來,三星同樣動(dòng)作頻頻,不僅宣稱將擴(kuò)大部分非存儲(chǔ)芯片如CIS、DDIC等委外代工,并將擴(kuò)大傳統(tǒng)和特色工藝產(chǎn)能,而且還豪言2027年晶圓代工客戶將增至2019年的5倍。此外,在先進(jìn)工藝層面更是步步為營。


種種舉動(dòng)在顯現(xiàn)出三星大張旗鼓的雄心之外,一個(gè)貫穿其中的信號(hào)仍在印證代工業(yè)的制勝之道:產(chǎn)能、客戶與先進(jìn)工藝。


Gartner認(rèn)為,三星動(dòng)作顯然是深思熟慮之舉,大多數(shù)CIS和DDIC產(chǎn)品大都在40nm及以上節(jié)點(diǎn)制造,競爭優(yōu)勢并不突出。再加上當(dāng)前CIS和DDIC市場需求減弱,整體規(guī)模有所放緩。因此,無論是EDA還是設(shè)備、材料的采購,還是維護(hù)費(fèi)用都面臨動(dòng)態(tài)變化。從成本來看,如果自產(chǎn)成本較高,則委外選擇成本控制到位的代工廠不失為一個(gè)選擇。


三星考慮將旗下更多成熟制程芯片委外代工,除了已有的晶圓代工伙伴聯(lián)電之外,還會(huì)新增世界先進(jìn)和力積電為其代工芯片。


實(shí)際上,三星在發(fā)展過程中有多年的外包業(yè)務(wù),這一戰(zhàn)略為其帶來了重新配置資源和工廠產(chǎn)能的機(jī)會(huì),實(shí)現(xiàn)更多的產(chǎn)能釋放。在產(chǎn)能調(diào)配下,三星代工可以承接更多高利率的訂單,尤其成熟制程設(shè)備多已攤提完畢,因此產(chǎn)品組合調(diào)配上可以更有彈性。


在產(chǎn)能調(diào)整之際,三星意在擴(kuò)大傳統(tǒng)和特色工藝的消息也在同步發(fā)酵。


其中,發(fā)展特色工藝已經(jīng)為業(yè)界共識(shí),在先進(jìn)工藝越來越曲高和寡的當(dāng)下,特色工藝正成為晶圓代工行業(yè)的新動(dòng)力。


據(jù)了解,全球從事特色工藝的玩家眾多,大體可劃分為三類:一是從事模擬、MCU、功率半導(dǎo)體的IDM;二是以特色工藝為主的晶圓代工廠;三是主攻先進(jìn)工藝也兼顧特色工藝的晶圓代工廠。


三星作為后者,野心不小。據(jù)悉,三星電子半導(dǎo)體代工事業(yè)部計(jì)劃到2024年將傳統(tǒng)和特色工藝的數(shù)量增加10個(gè)以上。到2027年,三星電子的傳統(tǒng)和特色工藝產(chǎn)能將達(dá)到2018年的2.3倍。


先進(jìn)工藝步步為營

將成熟制程外包、發(fā)力特色工藝之外,三星在先進(jìn)制程上的擴(kuò)產(chǎn)和投入最為矚目,以在下一個(gè)技術(shù)點(diǎn)到來之際占據(jù)先機(jī)。


作為目前全球唯二可以制造5nm以下的晶圓廠,三星代工的成就雖然不容小覷。但相比臺(tái)積電總是棋差一招,三星7nm量產(chǎn)之后,臺(tái)積電宣布5nm量產(chǎn),三星5nm量產(chǎn)之后,臺(tái)積電又宣布4nm試產(chǎn),總是跟不上臺(tái)積電的步伐。



但三星在更先進(jìn)的節(jié)點(diǎn)上看到了追趕的機(jī)會(huì),三星3nm芯片率先采用GAA工藝,且領(lǐng)先臺(tái)積電量產(chǎn),成為全球首個(gè)量產(chǎn)3nm的代工廠。乘勝追擊,三星電子計(jì)劃明年推出第二代3nm工藝,并更進(jìn)一步放言計(jì)劃到2025年達(dá)到2nm,到2027年達(dá)到1.4nm。


對于這一進(jìn)擊的目標(biāo),Isaiah Research認(rèn)為,三星的計(jì)劃是有可能的,只是屆時(shí)量產(chǎn)的規(guī)模跟良率多寡都需要持續(xù)關(guān)注。


如果說三星在先進(jìn)工藝和特色工藝“左右開弓”的話,那么爭取盡可能多的客戶才能“左右逢源”。三星曾豪言2027年晶圓代工客戶將增至2019年的5倍。


據(jù)Gartner分析,三星的三家美國大客戶的業(yè)務(wù)在2021年增加了一倍以上。2022年雖有高通和英偉達(dá)轉(zhuǎn)單的“變故”,但總體“基本盤”向好。三星代工部門副總裁Moon-sooKang在2022年第一季度的商務(wù)電話會(huì)議上證實(shí),三星已經(jīng)有未來五年的訂單。他指出,這些訂單是三星去年代工銷售額的8倍。


還值得關(guān)注的動(dòng)向是高通宣稱,未來3nm、4nmAP由臺(tái)積電代工,但進(jìn)入GAA制程后有可能采取同步下單三星和臺(tái)積電等多家代工廠的多供應(yīng)商策略,這意味著臺(tái)積電將不再“獨(dú)享”高通的先進(jìn)工藝訂單,三星或憑借3nm率先采用GAA的優(yōu)勢獲得更多“回頭客”。


Isaiah Research認(rèn)為,三星如要達(dá)到2027年客戶規(guī)模增至5倍的目標(biāo),一是需要持續(xù)擴(kuò)產(chǎn),二是要提高先進(jìn)制程良率,這才能拓及更多潛在客戶,并且增加既有客戶的黏著度。


產(chǎn)能方面,三星預(yù)計(jì)到2027年代工產(chǎn)能將比2022年增加3.3倍。這也就意味著需要建設(shè)更多的工廠。據(jù)外媒報(bào)道,三星電子晶圓代工業(yè)務(wù)部門總裁Choi Si-young透露,公司目前在韓國和美國運(yùn)營有5座工廠,而且已經(jīng)確定選址將再建超過10座工廠;


良率對于三星來說,一直是要努力越過的“攔路虎”。據(jù)了解,三星4nm的良率從今年初35%持續(xù)往上走,但目前提升到多少仍未知,相較臺(tái)積電4nm的70%良率指標(biāo),且有大客戶蘋果、高通、AMD“站臺(tái)”,這一差距仍是存在的。而且目前三星的先進(jìn)制程客戶群多為中小客戶,從產(chǎn)能角度如何競爭大客戶的青睞仍待努力。


Gartner也認(rèn)為,在相關(guān)軟硬件資源就緒的情況下,三星要克服當(dāng)前3nm平臺(tái)的類似挑戰(zhàn),包括良率和客戶等等,未來1.4nm平臺(tái)如何保留新客戶和成熟客戶,以及與臺(tái)積電和英特爾的競爭走向均是變動(dòng)的X因素。


為大力推進(jìn)其代工業(yè)務(wù),三星多路并進(jìn),隨著全球制造業(yè)回流導(dǎo)致供應(yīng)鏈的多樣化,未來幾年亦將引發(fā)重構(gòu)。能否盡力抓住時(shí)間窗口,在四面進(jìn)擊之后實(shí)現(xiàn)“十年夙愿”,還留待行動(dòng)和時(shí)間來證明。


? +

+

臺(tái)積電:無懼三星、英特爾追趕


一邊是英特爾高管辭職、三星產(chǎn)能調(diào)配,另一邊是臺(tái)積電的持續(xù)加碼。


目前臺(tái)積電斥資120億美元在美國亞利桑那州興建的12英寸5nm制程晶圓廠即將完成土建,預(yù)計(jì)將于12月舉行首批機(jī)臺(tái)設(shè)備進(jìn)廠典禮,近期已有大批在中國臺(tái)灣接受培訓(xùn)的美國工程師陸續(xù)返回美國。


11月21日,臺(tái)積電創(chuàng)始人張忠謀證實(shí)了會(huì)在美國建3nm工廠的消息。不過張忠謀并未透露美國3nm晶圓廠建廠計(jì)劃的投資規(guī)模,以及會(huì)在何時(shí)啟動(dòng)。




但據(jù)業(yè)內(nèi)人士爆料稱,該3nm工廠產(chǎn)能也將為2萬片,目前正開始安排人力規(guī)劃,預(yù)計(jì)投資規(guī)模也將達(dá)到120億美元。這也將是臺(tái)積電在美國的第三座晶圓廠。除了在建的亞利桑那州晶圓廠之外,臺(tái)積電在美國華盛頓州的Camas還有一座晶圓十一廠,不過這里僅生產(chǎn)8英寸晶圓,主要面向28nm以上成熟制程。


為何持續(xù)在美國建先進(jìn)制程晶圓廠?

2019年在美國政府持續(xù)推動(dòng)制造業(yè)回流美國的背景之下,臺(tái)積電宣布了投資120億美元在亞利桑那州建5nm晶圓廠的計(jì)劃。


但是對于臺(tái)積電來說,顯然在美國制造芯片的成本要更高,這并不是從商業(yè)成本考慮的決策。張忠謀曾表示,實(shí)際在美國制造芯片的成本比臺(tái)灣貴50%。臺(tái)積電在美國建5nm晶圓廠是在美國政府的“敦促”下做的決定。


雖然美國推出的《芯片法案》刺激了不少半導(dǎo)體投資,但張忠謀認(rèn)為,這個(gè)補(bǔ)貼金額遠(yuǎn)低于提振本土芯片制造所需金額。雖然美國的芯片產(chǎn)量會(huì)增加,但是,單位成本將增加,美國很難在國際上競爭。


那么,為什么臺(tái)積電在美國亞利桑那州建設(shè)5nm晶圓廠之后,還計(jì)劃再建3nm晶圓廠呢?

在當(dāng)前市場趨勢和貿(mào)易關(guān)系下,美國客戶在臺(tái)積電營收當(dāng)中的總體占比正在持續(xù)提升,這也是促使臺(tái)積電赴美建先進(jìn)制程晶圓廠的一大因素。


據(jù)Digitimes統(tǒng)計(jì)顯示,2021年美國是臺(tái)積電最大的銷售市場,同比增長24%,營收占比為64%。其中,蘋果一家占據(jù)了臺(tái)積電超過1/4的營收。


同時(shí),據(jù)臺(tái)灣媒體報(bào)道,“特斯拉將4nm和5nm工藝產(chǎn)品委托給臺(tái)積電”。如果屬實(shí),特斯拉將進(jìn)入臺(tái)積電前7大客戶公司,進(jìn)一步提升美企占比份額。


此外,臺(tái)積電赴美建先進(jìn)制程晶圓廠,也在一定程度上順應(yīng)了美國客戶的供應(yīng)來源地分散化的供應(yīng)鏈安全需求。


據(jù)彭博社報(bào)道顯示,蘋果計(jì)劃未來將從美國亞利桑那州一座還在建設(shè)當(dāng)中的晶圓廠采購芯片(這座工廠將于2024年啟用),以降低對亞洲供應(yīng)鏈的依賴。而蘋果所指的在建當(dāng)中的晶圓廠外界普遍認(rèn)為就是臺(tái)積電的亞利桑那州晶圓廠。


一些列因素推動(dòng)下,促使臺(tái)積電在美國再建先進(jìn)工藝晶圓廠。


另一方面,由于臺(tái)積電在芯片制造領(lǐng)域占據(jù)主導(dǎo)地位,隨著其最新的3nm制程工藝的制造成本的上升,臺(tái)積電也將大幅提高3nm晶圓的價(jià)格。


據(jù)最新曝光的臺(tái)積電晶圓定價(jià)圖表顯示,臺(tái)積電7nm晶圓代工定價(jià)是10000美元,到5nm已經(jīng)上升到了16000 美元,漲幅高達(dá)60%。隨著臺(tái)積電3nm制造成本的上升,Digitimes預(yù)計(jì)晶圓代工定價(jià)將超過20000美元,相比5nm上漲了25%,這意味著下一代3nm的CPU和GPU將更加昂貴。


然而,造成這種局面的關(guān)鍵主要是兩方面原因:一方面是隨著制程工藝的提升,對于半導(dǎo)體設(shè)備和材料的要求也就越苛刻,直接導(dǎo)致了制造成本的上升;另一方面,目前能夠提供尖端晶圓代工服務(wù)的供應(yīng)商僅有臺(tái)積電和三星,其中臺(tái)積電一家獨(dú)占了大部分的市場份額。這種近乎壟斷的局面也造成了每一代尖端晶圓代工價(jià)格毫無阻力地暴漲。


后者也是當(dāng)前IC設(shè)計(jì)企業(yè)多源代工戰(zhàn)略的主要因素之一。


當(dāng)前,各大廠都積極布局更先進(jìn)的制程投資,臺(tái)積電總裁魏哲家多次釋出臺(tái)積3nm今年在臺(tái)灣量產(chǎn)、2nm 2025年量產(chǎn)且保持領(lǐng)先優(yōu)勢。但沒有公布2nm以下更先進(jìn)制程量產(chǎn)時(shí)間表。


臺(tái)積電在先進(jìn)制程領(lǐng)域相對順利。有消息稱,盡管如今還未量產(chǎn)3nm工藝,臺(tái)積電3nm良率已達(dá)80%,其最大的客戶蘋果,已經(jīng)提前預(yù)定其M3芯片采用臺(tái)積電3nm制程。甚至有消息稱,臺(tái)積電2nm的風(fēng)險(xiǎn)試產(chǎn)良率也已超過了90%,蘋果和英特爾等巨頭企業(yè),也將作為臺(tái)積電2nm的首批客戶。


臺(tái)積電先進(jìn)制程演變路徑


近日,據(jù)中國臺(tái)灣地區(qū)行政院副院長沈榮津透露,臺(tái)積電1nm廠將設(shè)在龍?zhí)叮逼鹛覉@龍?zhí)?,?jīng)過新竹、臺(tái)中、臺(tái)南、高雄,這樣整個(gè)半導(dǎo)體聚落就可以完整串聯(lián)起來,讓臺(tái)灣西部擁有一個(gè)完整個(gè)半導(dǎo)體科技廊帶,預(yù)估未來臺(tái)積電1nm廠也能為龍?zhí)懂?dāng)?shù)貛砩先f個(gè)年薪百萬的工程師就業(yè)機(jī)會(huì)。


面對英特爾和三星的追趕,有業(yè)內(nèi)人士表示,臺(tái)積電的優(yōu)勢已經(jīng)建立,且這一優(yōu)勢建立在頂尖制程上。臺(tái)積電2021年的財(cái)報(bào)顯示,5nm芯片的出貨量占據(jù)了其總營收的20%,7nm占據(jù)了30%。這代表先進(jìn)制程幾乎占了臺(tái)積電一半的營收,這個(gè)比例是很可怕的。這意味著臺(tái)積電在先進(jìn)制程上與對手的優(yōu)勢不但很難縮小,而且可能進(jìn)一步拉大。


? +

+

寫在最后


隨著先進(jìn)制程的持續(xù)演進(jìn),臺(tái)積電、三星、英特爾三大芯片巨頭將迎來新的對決。


目前臺(tái)積電2nm廠二期擴(kuò)建計(jì)劃用地已經(jīng)敲定,計(jì)劃于今年三季度動(dòng)工;三星則在今年7月宣布已開始初步生產(chǎn)采用GAA架構(gòu)的3nm工藝芯片,而2nm工藝的量產(chǎn)時(shí)間也同樣定在2025年;英特爾方面此前則承諾到2025年重新獲得芯片制造技術(shù)的領(lǐng)先地位,并將投產(chǎn)2nm的時(shí)間目標(biāo)定在2024年。


英特爾的快速追趕和加入,正在改變目前晶圓代工行業(yè)“雙雄爭霸”的競爭格局。


但是,對于英特爾發(fā)起的挑戰(zhàn),芯片市場的反饋往往需要一個(gè)較長周期,而在英特爾此前在10nm及7nm上被臺(tái)積電、三星拉開差距后,尋回客戶的信任也需要較長時(shí)間,因此追趕之路或?qū)⑹且粋€(gè)較長的過程。


而三星在先進(jìn)制程方面也頻頻陷入良率的泥沼,亟待得到改善。


業(yè)內(nèi)資深專家強(qiáng)調(diào),臺(tái)積電也并沒有成為代工市場絕對的贏家,因?yàn)榻^大部分晶圓代工廠商已經(jīng)完全告別了先進(jìn)制程的競賽,使得諸多客戶只能在臺(tái)積電、三星和英特爾之間進(jìn)行選擇,而臺(tái)積電一家的產(chǎn)能,縱然難以維持龐大的先進(jìn)制程市場。因此,哪怕三星和英特爾的芯片會(huì)陷入性能“滑鐵盧”的風(fēng)險(xiǎn),也依舊會(huì)有大批廠商在產(chǎn)能和價(jià)格因素的驅(qū)動(dòng)下,愿意去“嘗嘗螃蟹”。


未來先進(jìn)工藝芯片之爭將主要在臺(tái)積電、三星和英特爾之間展開,代工三巨頭的拉鋸戰(zhàn)也將成為推動(dòng)摩爾定力繼續(xù)前行的動(dòng)力,推動(dòng)下一個(gè)“彎道”的到來。


每一個(gè)車手都明白彎道代表著太多可能,當(dāng)一個(gè)時(shí)代開始轉(zhuǎn)彎,領(lǐng)先者可能會(huì)落后,落后者可能會(huì)超越。

*免責(zé)聲明:本文由作者原創(chuàng)。文章內(nèi)容系作者個(gè)人觀點(diǎn),半導(dǎo)體行業(yè)觀察轉(zhuǎn)載僅為了傳達(dá)一種不同的觀點(diǎn),不代表半導(dǎo)體行業(yè)觀察對該觀點(diǎn)贊同或支持,如果有任何異議,歡迎聯(lián)系半導(dǎo)體行業(yè)觀察。


今天是《半導(dǎo)體行業(yè)觀察》為您分享的第3239內(nèi)容,歡迎關(guān)注。

推薦閱讀


美國萬字報(bào)告:芯片設(shè)計(jì)很重要!

胡正明撰文:晶體管的未來是我們的未來

MCU三巨頭,三種選擇


半導(dǎo)體行業(yè)觀察

半導(dǎo)體第一垂直媒體

實(shí)時(shí) 專業(yè) 原創(chuàng) 深度


識(shí)別二維碼,回復(fù)下方關(guān)鍵詞,閱讀更多

晶圓|集成電路|設(shè)備|汽車芯片|存儲(chǔ)|臺(tái)積電|AI|封裝

回復(fù) 投稿,看《如何成為“半導(dǎo)體行業(yè)觀察”的一員 》

回復(fù) 搜索,還能輕松找到其他你感興趣的文章!

原創(chuàng)不易,多多轉(zhuǎn)發(fā)??

收錄于合集 #李晨光
25個(gè)
下一篇美國萬字報(bào)告:芯片設(shè)計(jì)很重要!
閱讀 7842